首页 - 网络教育 > 出租车计价器的设计(出租车计价器的设计开题报告)

出租车计价器的设计(出租车计价器的设计开题报告)

发布于:2022-10-25 06:26:28 作者:admin

今天给各位分享出租车计价器的设计的知识,其中也会对出租车计价器的设计开题报告进行解释,如果能碰巧解决你现在面临的问题,别忘了关注本站,现在开始吧!

本文目录一览:

能发一下出租车计价器设计的总体思路吗

实验任务及要求1.能实现计费功能,计费标准为:按行驶里程收费,起步费为10.00元,并在车行3公里后再按1.6元/公里,车暂停时,停车一分钟之后开始加价,每分钟增加2.5元。2. 实现预置功能:能预置起步费、每公里收费、车行加费里程。3. 实现模拟功能:能模拟汽车启动、停止、暂停等状态。4. 设计动态扫描电路:将车费及暂停时间显示出来。5. 用VHDL语言设计符合上述功能要求的出租车计费器,并用层次化设计方法设计该电路。6. 综合仿真验证,并通过有关波形确认电路设计是否正确。7. 完成电路全部设计后,通过GW48系统实验箱下载验证设计的正确性。二、实验原理图1出租车计价器流程图 图2系统结构图接口部分定义如下:输入:clk_240,系统时钟,频率为240Hz; Start,启动信号,当start=1时,汽车启动,开始计价; 当start=0时,清零; Stop,暂时计时信号,当stop-1时,中途暂停,开始计时;当stop=0时,再次出发; Fin,汽车车速脉冲信号,是一个与随着车速变化而变化的脉冲信号。输出:cha2,cha1,cha0,分别为价钱的十位,个位和角位; Km1,km0,分别为行驶公里数的十位,个位; Min0,暂时分钟数输出。接口部分源程序如下:port ( clk_240 :in std_logic; --频率为240Hz的时钟 start :in std_logic; --计价使能信号 stop:in std_logic; --等待信号 fin:in std_logic; --公里脉冲信号 cha2,cha1,cha0:out std_logic_vector(3 downto 0); --费用数据 km1,km0:out std_logic_vector(3 downto 0); --公里数据 min0: out std_logic_vector(3 downto 0)); --等待时间 计价部分原理如下: 起步价10元,3公里内10元,超出3公里部分,每公里1.6元,车暂停时,2分钟内不加价,超出2分钟部分,每分钟2.5元;计费部分源程序如下:feipin:process(clk_240,start)begin if clk_240'event and clk_240='1' then if start='0' then q_15=0;q_16=0;f_15='0';f_16='0';f_1='0';f='0'; else if q_15=15 then q_15=0;f_15='1'; --此IF语句得到频率为15Hz的信号 else q_15=q_15+1;f_15='0'; end if; if q_16=14 then q_16=0;f_16='1'; --此IF语句得到频率为16Hz的信号 else q_16=q_16+1;f_16='0'; end if; if q_1=239 then q_1=0;f_1='1'; --此IF语句得到频率为1Hz的信号 else q_1=q_1+1;f_1='0'; end if; if en1='1' then f=f_15; --此IF语句得到计费脉冲f elsif en0='1' then f=f_16; else f='0'; end if; end if; end if;end process;

process(f_1)begin if f_1'event and f_1='1' then if start='0' then w=0;en1='0';en0='0';m1="000";m0="0000";k1="0000";k0="0000"; elsif stop='1' then if w=59 then w=0; --此IF语句完成等待计时 if m0="1001" then m0="0000"; --此IF语句完成分计数 if m1="101" then m1="000"; else m1=m1+1; end if; else m0=m0+1; end if; if m1m0"0000001"then en1='1'; --此IF语句得到en1使能信号 else en1='0'; end if; else w=w+1;en1='0'; end if; elsif fin='1' then if k0="1001" then k0="0000"; --此IF语句完成公里脉冲计数 if k1="1001" then k1="0000"; else k1=k1+1; end if; else k0=k0+1; end if; if k1k0"00000010" then en0='1'; --此IF语句得到en0使能信号 else en0='0'; end if; else en1='0';en0='0'; end if;cha3=c3;cha2=c2;cha1=c1;cha0=c0; --费用数据输出km1=k1;km0=k0;min1='0'm1;min0=m0; --公里数据、分钟数据输出 end if;end process;process(f,start)begin if start='0' then c3="0000";c2="0001";c1="0000";c0="0000"; elsif f'event and f='1' then if c0="1001" then c0="0000"; --此IF语句完成对费用的计数 if c1="1001" then c1="0000"; if c2="1001" then c2="0000"; if c3="1001" then c3="0000"; else c3=c3+1; end if; else c2=c2+1; end if; else c1=c1+1; end if; else c0=c0+1; end if; end if;end process;end behav;

注:百度知道上找到,希望采纳

出租车计价器设计

电子技术课程设计

出租车自动计费器

学院:华科学院

专业:电气工程及其自动化

班级:

姓名:

学号:

指导老师:

2008年1月2日

目 录

一、设计任务与要求-------------- 1

二、总体框图----------------- 1

三、器材选择---------------- 1

四、模块功能----------------- 5

五、总体设计电路图-------------- 9

六、心得体会-----------------------10

出租车计费器

一. 设计任务与要求

出租车自动计费器根据客户用车的实际情况而自动显示用车费的数字仪表,根据用车起价,行车里程计费及等候时间计费三项求出客户用车的总费用,通过数码自动显示。

1. 里程测量精确到1km。

2. 起步价按7元/3km,起步价外按1元/进行机费。

3. 等候按1元/60 min计费。

4. 具有里程显示,收费显示,里程单价显示。

二.总体框图

三.器件选择

A.十进制计数器74LS160

1.74LS160是中规模集成同步十进制加法计数器,具有异步清零和同步预置数的功能。使用74LS160通过置零法或置数法可以实现任意进制的计数器。其引脚图见图 。

先对74LS160的基本功能进行测试,逻辑功能表如下图。

①异步清零:当 CLR=0时,Q 0=Q1=Q2=Q3=0。

②同步预置:当 LOAD=0时,在时钟脉冲CP上升沿作用下,Q 0=D0,Q1=D1,Q2=D2,Q3=D3。

③锁存:当使能端 时,计数器禁止计数,为锁存状态。

④计数:当使能端EP=ET=1时,为计数状态。

功能管脚图

2. 74LS160的逻辑功能表

时钟CP 异步清除 同步置数 EP ET 工 作 状 态

× 0 × × ×

↑ 1 0 × ×

× 1 1 0 1

× 1 1 × 0

↑ 1 1 1 1

3.74LS160的逻辑图

B.555定时器

它含有两个电压比较器,一个基本RS触发器,一个放电开关T,比较器的参考电压由三只5KΩ的电阻器构成分压,它们分别使高电平比较器A1同相比较端和低电平比较器A2的反相输入端的参考电平为和。A1和A2的输出端控制RS触发器状态和放电管开关状态。当输入信号输入并超过时,触发器复位,555的输出端3脚输出低电平,同时放电,开关管导通;当输入信号自2脚输入并低于时,触发器置位,555的3脚输出高电平,同时放电,开关管截止。

功能管脚图

功能管脚图

逻辑图

功能表

74LS192

74LS192是十进制同步加法、减法器,采用8421BCD码编码,具有直接清零,异步置数的功能。

CPU CPD LD CR 操作

随意 随意 0 0 置数

脉冲 1 1 0 加数

1 脉冲 1 0 箭术

随意 随意

四.功能模块

1.里程计算与显示电路

里程计算模块对测距传感器发出的0.1km的脉冲信号进行计数,计满10个脉冲表示1km。里程计算与显示电路如下图。

如上图当所示,用三片74ls160接成三个十进制计数器,由信号发生器触发脉冲,每触发一个脉冲为出租车行进中的0.1km,第一块加法计数器累计十次脉冲,向第二快加法计数器输去一次脉冲,当第二块加法计数器累计到十次时,灯泡亮一次,表示出租车向前行进了1km.断开开关J1实现同步清零。

2.里程比较电路

基本里程设定为3km。当实际行驶距离超过基本里程时,则在原有计费的基础上加上每公里单价,里程比较电路如下图所示。

里程比较电路如上图所示,用两片74LS160接成30进制计数器,由信号发生器触发脉冲,每触发一个脉冲为出租车行进中的0.1km,当计满后触发触发器D使其输出(Q)为1,作为超基本里程计费的闸门信号,Q=1后启动超基本里程计费电路,由每公里的触发脉冲触发计费电路实现费用累加。一旦实际行驶里程超过了基本里程,Q非封锁30计数器,使里程比较电路停止计数,一直到总清零信号(总清信号清除模3计数和D触发器)后才开始新一轮的里程比较。

3.侯时电路

用555够成多谐振荡电路,然后用计数器按秒,分计时,1min给里程计数器一个0.1km的脉冲,侯时电路如下图所示

侯时电路如上图所示,当一分钟后触发一次脉冲,灯泡亮一次。

用555定时器构成秒脉冲发生器

电路如下图

五.总体设计电路图

侯时电路,里程比较电路,里程计算与显示电路,相互叠加,设置起步价7元

同过缓存器,锁存器的连接,信号的积累,显示总价。

六.心得体会

两周的课程设计时间很快就过去了,虽然它的时间很短,我们很匆忙,很辛苦,课

程设计真的很不容易,在这三周里,我一次次告诉自己要坚持,再坚持通过两周以来同学

和老师的共同努力,我们终于完成了电子课程。作完之后,我组的全体成员都大吸了一口

气,然后感叹道:终于做完了,可把我们可累苦了。但大家脸上的表情都是欣慰和欢喜的,

到底工夫不负有心人。俗话说的好:苦不苦想想红军长征二万五。当年红军爬雪山,越草

地,与自然做斗争,冰天雪地的。我们饿了还有香喷喷的饭菜吃,他们呢?吃草皮,啃树

皮,甚至连自己身上的皮带都煮着吃了,比起他们来我们幸福多了,看着自己的劳动成果:

面包板上大大小小的芯片,密密麻麻的连接线,大家都笑了,我们四目相接,面面相觑,

都在感慨实习终于结束了。不知道是因为心情好还是太累, 回去特舒服,特别的塌实 。

这次的课程设计使我认识到我在学习理论方面有很多的不足,通过向其他同学询问和学

习,才算基本上搞了出来。用555定时器产生秒脉冲,74LS160做10进制计数器,用不同

的器件组建不同的模块,从而达到最终的设计要求。

课程设计让我体会到知识上的收获重要,精神上的丰收更加可喜。让我知道了学无

止境的道理。我们每一个人永远不能满足于现有的成就,人生就像在爬山,一座山

峰的后面还有更高的山峰在等着你。挫折是一份财富,经历是一份拥有。这次课程

设计必将成为我人生旅途上一个非常美好的回忆!

《计算机组成原理》课程设计--出租车计价器

单片机课程设计出租车计价器资料全(程序、论文、proteus仿真图)

求出租车计价器数字电路课程设计原理图!!(纯数字电路)

设计原理与实现方案论证1.里程计数及显示

在出租车转轴上加装传感器,以便获得“行驶里程信号”。

设汽车每走1Km发一个脉冲,里程的计数显示,可用十进制、译码显示。该模块涉及时序电路相关知识,如计数器(74ls60,74ls90等)、译码驱动器、显示器等中规模芯片相关知识。

侯时模块设计类似于里程计数模块,不同的是脉冲信号可由石英晶振经分频后获得。简易的也可用555定时器近似获得,涉及脉冲信号产生相关知识。但在这个实验中使用直接的脉冲信号。

2.加法器模块电路

该模块功能为计价,由两部分组成:

简易的单片机出租车计价器有那些模块实现功能

本次出租车计价器设计可以分为六个模块,主控模块、计时模块、存储模块、显示模块、按键模块和电机驱动模块。

基于单片机设计的出租车计价器的毕业设计

058出租车计价器系统设计 双击自动滚屏 文章来源:一流设计吧 发布者:16sheji8 发布时间:2008-7-30 9:45:00 阅读:397次 基于89S51单片机的出租车计价器系统设计 摘要:现在各大中城市出租车行业都已普及自动计价器,所以计价器技术的发展已成定局。而部分小城市尚未普及,但随着城市建设日益加快,象征着城市面貌的出租车行业也将加速发展,计价器的普及也是毫无疑问的,所以未来汽车计价器的市场还是十分有潜力的。本电路以89S51 单片机为中心、附加A44E 霍尔传感器测距,实现对出租车计价统计,采用AT24C02 实现在系统掉电的时候保存单价和系统时间等信息,输出采用8 段数码显示管。本电路设计的计价器不但能实现基本的计价,而且还能根据白天、黑夜、中途等待来调节单价,同时在不计价的时候还能作为时钟为司机同志提供方便。 关键词:89S51单片机 A44E霍尔传感器 断电保存 8段数码显示管 Abstract: It is now the major cities in the taxi industry have universal automatic meter, meter technology development is a foregone conclusion. And some small cities not yet universal, but with increasing speed up urban construction, a symbol of urban landscape taxi industry will also accelerate development, and the popularity meter is no doubt, therefore, the future of the automobile market valuation is still potential . The circuit of 89 S51 MCU as the center, additional A44E Hall sensor location and realize the Taximeter statistics, a brownout AT24C02 achieve in the system and when the system priced preservation time information, output by paragraph 8 of the digital display. The circuit design of the meter can not only realize the basic valuation, but also in accordance with the day, night, halfway to wait for price regulation, while also not denominated as a time clock to provide convenience for the drivers comrades. Keywords: 89 S51 MCU A44E Hall sensor power preservation of digital display paragraph 8 目 录第一章 引言………………………………………………………………………………………1第二章 出租车计价器的设计内容………………………………………………………………22.1基本设计要求 …………………………………………………………………………………22.2拓展功能 ………………………………………………………………………………………2第三章 方案论证…………………………………………………………………………………3 本文来自: 一流设计吧() 详细出处参考:

请采纳。

关于出租车计价器的设计和出租车计价器的设计开题报告的介绍到此就结束了,不知道你从中找到你需要的信息了吗 ?如果你还想了解更多这方面的信息,记得收藏关注本站。

二维码

扫一扫关注我们

版权声明:本文内容由互联网用户自发贡献,本站不拥有所有权,不承担相关法律责任。

本站部分文字及图片均来自于网络,如有侵权请及时联系删除处理,欢迎发送邮件

标签: #出租车计价器的设计

相关文章